Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

pi controller in vhdl (altera de0 16f484c6)

Status
Not open for further replies.

vforvendetta

Newbie level 1
Joined
Jun 18, 2011
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,287
hi to all;
my final project is pi controller in vhdl,but i always have problems in programming especially while i try to compile.please help me to write pi controller in vhdl .because i have to control dc motor with pi controller.PLEASE contact wit me for this topic quickly.Thanks for all things
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top