Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

output generation in verilog

Status
Not open for further replies.

samuel_raja_77

Junior Member level 2
Joined
Apr 8, 2006
Messages
20
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,458
how to write the values generated in the verilog module to a output file
 

use file i/o system tasks like $fprintf. search this forum; queries based on file i/o operations in verilog bave been asked and answered by many before
 
Pl. refer Verilog book by Samir palnitkar. All these concepts are clearly explained in this book
 

use the system task $fopen( file name.dat)

ex:
results = $fopen("results.dat")
 

Hi ,

If you are using the verilog-2001, there are many I/O file system task can be used like C . You can open a file and write the data/stream to the file.

Thanks.

Lsong
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top