Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Opencores DDR SDRAM Controller help !!!

Status
Not open for further replies.

cippalippa

Member level 2
Joined
Jan 25, 2005
Messages
44
Helped
4
Reputation
8
Reaction score
0
Trophy points
1,286
Activity points
374
Hi,

I try to use the Opencores DDR Controller; I simulate the core and work well.
Now I try to implement the IP in the Spartan3E Starter kit but the controller don't work; may I know if sameone have already used this IP?
May I know if sameone have documentation about this core?
Otherwise, is the DDR IP generate with Xilinx Memory Interface Generator easy to use?
Thanks in advance for all.

Daniele
 

Hi cippalippa

it would be grt if you post the code for the controller b'cos i don't find the code on opencores

plz do so....

thanks
 

try xilinx core generator if available and if possible
hope that works without any problem
 

Depending upon the XLINX or ALTERA u got a lot of specifications on their website and u can use these as IP cores. U can also search in the MEGACORE ,u have detail in this website
 

Hi,

I found some error in my ucf file, so now the controller working well in my Spartan 3E board.
The only things is that this core is for ea 256 Mbit DDR SDRAM but I have a 512 Mbit; may I know if sameone have already modified the code in order to increase the memory?

For the Xilinx MIG I asked at the FAE if the DDR SDRAM core generated for the Spartan 3E is working and he say me that is not sure.

Daniele
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top