Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Numeric Control Oscillators

Status
Not open for further replies.

Usman Hai

Full Member level 3
Joined
Apr 8, 2004
Messages
158
Helped
12
Reputation
24
Reaction score
9
Trophy points
1,298
Location
Canada
Activity points
1,230
numeric control oscillator

I need help about NCOs for SDRs.
Whats the difference bw NCO and other Digital Oscillators

Usman Hai
Pakistan
 

digital oscillator in fpga

An NCO (Numerically Controlled Oscillator) is a digital Oscillator whose frequency can be Controlled (by a Number), analogously as the frequency of a VCO can be controlled by a Voltage.
Regards
Z
 

why to use numeric control oscillator

Is there any material available on net.
is there any book on this topic.

Can i implement it on FPGA.

Usman Hai
Pakistan
 

www.ecdl.hut.fi/~jvan/links.html

May be you will try to use DDS.
 

concepts+numerically controlled oscillator

can any one have IEEEpaper links bout NCO.

Its architecture and about its working.

USMAN HAI
 

sdr-14 nco

quite easy, isn't it?
a table for sine/cosine wave
an accumulator for address
a phase input to accumulate
that's all.
 

nco + phase noise

Hi,
1) You will find lot of information on this in Xilinx or Altera websites
2) NCOs are used for generation of variable frequency clocks. For eg. If you are tracking a signal, the frequency may change due to doppler and the NCO helps in changing the local carrier.
3) NCOs are conceptually very simple. You accumulate a number based on the desired frequency and the carry generated is the desired signal. Accumulation is done at fudamental frequency from which the signal has to be derived.

Hope this helps,
BRMadhukar
 

rom compression methods to implement lut in dds

Whats the difference bw DDFS and NCO?

Which one shud i take first to study?

Usman Hai
 

I guess that NCO is part of DDS, right?
 

Which Algorithm shud i adopt for sine wave generation ?
I m currently working on CORDIC.
Is it useful algo for it?

USMAN HAI
 

the cordic algorithm is not necessary now.

four years ago, i use rom compress algorithm to realize sine wave lookup table. now, for the FPGA's ram blocks being getting more and more bits, i only store 1/4 sine wave for a LUT.

for example, you can realize such a nco only cost one ram block by use spartan 3 series fpga:
1) sine and cosine two channel output
2) each of the output is 8 bit width
3) phase address width is 12bit, say, the LUT has 4096 units, each units is 8 bit width.
 

Any one have personally designed NCO on simulink.

THANKS in advance

USMAN HAI
 

As I know DDS, DDFS and NCO are all the same!
for implementation u need an accumulator pluse a look up table but for higher resulation and lower memory requirement some method for compression is used such as linear interpolation or higher order ones or like taylor series etc...
the method of compression is ranked with compression ratio, lspur level generation and processing power (more or less propertional to power consumption!) .

BEST!
 

NCO is the digital technic to calculate phase of repeitive frequency in fraction of required hertz (it the technic to chage the frequency) and use phase as index to pick amplitude from (sine or any shape) wave table,
fast, but has accuracy/table size tradeoff.

But cordic algo. is the technic to calculate sine (or others transcandency function) which will give the value of sinewave without table (sequential phase, not random). no table, small, slower.
 

DDS (DDFS, NCO) LINKS:
/http://www.ecdl.hut.fi/~jvan/links.html
 

Can anyone tell me that whether the NCO has the same disadvantage of VCO? for example, NCO is also sensitive for phase noise just like VCO??
 

i do not think they are the same.

if the master clock of the NCO has much phase noise, then the output of the NCO also has.

but the control voltage linearity of the nco is much better than vco.
 

NCO,DDS,DDFS all are the same concepts.
u can easily generate and NCO using Xilinx tools and test in any FPGA hardware, as they have NCO ip cores.
it's just a matter of entering the parameters depending on ur resolution.
else, if u want to generate it thrg the simulink and port it to FPGA, thatz also easy with the new tool"systemGenerator". where this NCO is availble as an FPGA blockset. just Drag and drop this block and model it.
 

where is the best web sit for NCO ? thanks
 

This type of controller is the digital technic to calculate phase of repeitive frequency in fraction of required hertz and use phase as index to pick amplitude from (sine or any shape) wave table,
fast, but has accuracy.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top