Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Numeric Control Oscillators

Status
Not open for further replies.
there are 2 practical methods : Look-Up table or CORDIC algorithm. Look-Up table is easy to impliment but it needs more memory , CORDIC algorithm save memory resource but has slower speed.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top