Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Non-synthesizable Data types in VHDL

Status
Not open for further replies.

JIM1

Newbie level 2
Joined
Aug 5, 2004
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
20
non synthesizable statements in vhdl

I have heard that the data types (in VHDL) like "floating point type", "physical type" and "access point type" are non-synthesizable datatypes, what is meant by "non-synthesizable".....does it mean that if one use these datatypes in his program, the program can only be run on a simulator but not on FPGA.

Operators like Adding operators and multiplying operators...are they also non-synthesizable.
 

non-synthesizable

Hi

Operators like Adding operators and multiplying operators are synthesizable.
 

non-synthesizable constructs in vhdl

Only a part of the VHDL constructs are synthesizable. Which constructs are synthesizable? It depends on the synthesizer to be used. Thus, you will check for the synthesizable constructs for the synthesizer, when you are not familar with the synthesizer.
 

synthesizable constructs in vhdl

The VHDL Golden Reference Guide explains what statements are synthesizable.

Email me if you like a copy.

Delay (delayed by technology)
 

synthesizable floating point data in vhdl

As a rule such constructs are not synthesable
which have not direct and exact hardware implementation.
For example, modulo, division operations have not fully certain hardware implementation
and therefore they are not synthesised by any synthesizer except of division by 2,4,8....
May be in the future the floating point data and operations will be synthesized
when its format is standardized for synthesis.
 

vhdl non synthesizable constructs, vhdl

Aser said:
For example, modulo, division operations have not fully certain hardware implementation
and therefore they are not synthesised by any synthesizer except of division by 2,4,8....

Any work around for implementing the Modulo operation for numbers not a power of 2 ?
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top