Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Need of Netlist in verilog

Status
Not open for further replies.

Manuv16589

Member level 1
Joined
Mar 15, 2012
Messages
34
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,474
Use of Netlist in verilog

Hi,
While compiling a code in verilog i noticed a file called netlist. What is this netlist? If i am right so it provide the information about interconnections of RTL code. What is the use of it?
 

Compiled netlist is not RTL, it's representing synthesized gate level logic according to the available hardware resources. It can be used for a gate level simulations or verification purposes.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top