need help to convert vhdl code into verilog

Status
Not open for further replies.

ayza1505

Newbie level 3
Joined
Aug 23, 2007
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,319
converting vhdl code into verilog

i tried to convert this file into verilog but it cant works!!there's a few things missing.i guess so.pls help me...

LIBRARY ieee;
USE ieee.std_logic_1164.all;

Entity d_ffdelay is
port (clk,resetn,d_in: in std_logic;
d_out : out std_logic);
end;

Architecture rtl of d_ffdelay is
signal s: std_logic;

begin
process (clk,resetn)
begin
if resetn='0' then
d_out<='0';
elseif clk'event and clk='1' then
s<=transport d_in after 20NS;
d_out<=s;

end if;
end process;
end
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…