Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Need Help on VHDL to write a Finite State Machine

Status
Not open for further replies.

lahrach

Full Member level 3
Joined
Feb 6, 2009
Messages
170
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
2,285
Hi friends,

I need to write a FSM that do this work:
Send N bits and receive the N bits then compare the N bits sended and the N bits received

best regards,
 

pseudo code:

state1: send N bits
state2: receive N bits
state3: compare bits

done.
 

my answer is almost the same with ads_ee's, but add another initial state, state 0, to reset all signals. and after all process is done, the FSM returns to the initial state 0.
 

yeah I considered adding that state, but thought that might give away too much, considering how the OP wants us to write the FSM for him, instead of asking how to fix his broken implementation after trying to make it work on his own (perhaps even learning something on the way). ;-)
 

yeah I considered adding that state, but thought that might give away too much, considering how the OP wants us to write the FSM for him, instead of asking how to fix his broken implementation after trying to make it work on his own (perhaps even learning something on the way). ;-)

Doesn't this just drive you crazy? It's just amazing how people continually post: "This is hard, I can't be bothered trying to do this. Can you do my homework for me?".
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top