beginner_0029
Junior Member level 1
hello every one, can anyone please tell me what is the value of write_index in the 1st if statement and in the second if statement? and what does the statement STD_LOGIC_VECTOR(TO_UNSIGNED(100, 8)) mean, does it mean we shud consider vectors from 100 upto 8? kindly reply
Code:
signal write_index : std_logic_vector(7 downto 0);
begin
if(start_signal ='1') then
write_index <= std_logic_vector (unsigned(write_index) + 1);
end if;
if(write_index = STD_LOGIC_VECTOR(TO_UNSIGNED(100, 8)) then
last_write <= '1';
end if;