Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ncelab // synplify_pro // quartus // stratixii

Status
Not open for further replies.

bossbebes

Newbie level 4
Joined
Jul 2, 2008
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,329
Hi everybody
I m designing digital electronic entity to be place in an stratixii fpga.
I m using synplify pro to compile my RTL level design, output file .vqm
Then I generate a vhdl netlist with the quartus software + timing (sdo + vho) in order to simulate this netlist with simvision.
And when I try to elaborate my testbench (which include my top level design netlist) with the ncelab command from cadence, I got thoses errors (all similar to this one):

ncelab: *W,SDFVHSSV: VHDL port corresponding to scalar SDF port "dataa" is a vector <./simulation/ncsim/nce_test_pattern_card_top_vhd.sdo, line 279397>.

And if i go to the mentionned line in the sdo file :
(CELL
(CELLTYPE "stratixii_lcell_ff")
(INSTANCE \\pio_mux\|sel_22\[2\]\~DUPLICATE\\)
(DELAY
(ABSOLUTE
(PORT clk (2501:2501:2501) (2591:2591:2591))
(PORT adatasdata (3129:3129:3129) (3643:3643:3643))
(PORT aclr (2217:2217:2217) (2308:2308:2308))
(PORT ena (1781:1781:1781) (1875:1875:1875))
(IOPATH (posedge clk) regout (109:109:109) (109:109:109))
(IOPATH (posedge aclr) regout (245:245:245) (245:245:245))
)
) <<<<<<----------XXXXXXXXX here is the mentionned line
(TIMINGCHECK
(SETUP adatasdata (posedge clk) (104:104:104))
(SETUP ena (posedge clk) (104:104:104))
(HOLD adatasdata (posedge clk) (172:172:172))
(HOLD ena (posedge clk) (172:172:172))
)
)

I confused about that, look like the error do not match with sdo file.

I got an answer from altera support :
"
I have seen similar errors to this before, the error is stating that you have a port type miss match in your design, either data width or data type.

The normal cause of this error is a std_logic port connected to a std_logic_vector (0 downto 0). Some VHDL tools will allow these connections but other will not.

I would recommend checking the top level ports of your design in the .vho for port widths and data types (the .vho is just standard vhdl).

I would also recommend checking you have compiled the Altera VHDL libraries from the correct version of Quartus II.
"

Actually I had some std_logic connected to std_ulogic_vector(0 downto 0).
But not on top level port, on signal and ff_cells.
I removed all the std_logic_vector(0 downto 0) and changed then by std_logic.

And I still have same problems :
ncelab: *W,SDFVHSSV: VHDL port corresponding to scalar SDF port "d" is a vector <./simulation/ncsim/nce_test_pattern_card_top_vhd.sdo, line 545469>.

What can I do ?

Does anybody had been confronted to this problem

Thanks a lot for your help.

Regards

Vincent, a bit lost
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top