Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ncelab: *F,INTERR: INTERNAL ERROR

Status
Not open for further replies.

dipakg

Newbie level 6
Joined
Jun 6, 2008
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,381
Hi All,

I tried to run simple sv queue example with ius82_s014 and I observed weird behavior while calling function using void'().

Following is the complete example:

module class_queue;

class trans;

string myname;
int data;
int d_size;
int state;

function new(string name = "");
myname = name;
endfunction
endclass

trans my_trans;
trans trans_queue[$];
int id_queue[$];

initial begin
start_thread_t;
$display("id_queue.size = %0d",id_queue.size);
$display("trans_queue.size = %0d",trans_queue.size);
#10;
void'(flush_cmd_queues());//reports error ::---> *F,INTERR: INTERNAL ERROR
//flush_cmd_queues(); // reports warning :: ---> *W,FUNTSK : function called as a task without void'().
$display("id_queue.size = %0d",id_queue.size);
$display("trans_queue.size = %0d",trans_queue.size);
#100 $finish;
end

function flush_cmd_queues();
$display("clearing all the queues");
id_queue.delete;
trans_queue.delete;
endfunction

task start_thread_t;
for(int idx = 0; idx < 10; idx++) begin
my_trans = new("my_trans");
my_trans.data = idx;
my_trans.d_size = 'hf;
my_trans.state = 'hd;
id_queue.push_back(my_trans.data);
trans_queue.push_back(my_trans);
#10;
end
endtask

endmodule

When I ran the simulation using command "irun class_queue.sv", following error message was reported by tool:



Recompiling... reason: file './class_queue.sv' is newer than expected.
expected: Fri Jul 16 12:00:18 2010
actual: Fri Jul 16 12:01:07 2010
file: class_queue.sv
module worklib.class_queue:sv
errors: 0, warnings: 0
Caching library 'worklib' ....... Done
Elaborating the design hierarchy:
Top level design units:
class_queue
ncelab: *F,INTERR: INTERNAL ERROR
-----------------------------------------------------------------
The tool has encountered an unexpected condition and must exit.
Contact Cadence Design Systems customer support about this
problem and provide enough information to help us reproduce it,
including the logfile that contains this error message.
TOOL: ncelab 08.20-s014
HOSTNAME: ceiclnx5
OPERATING SYSTEM: Linux 2.6.18-164.11.1.el5 #1 SMP Wed Jan 6 13:26:04 EST 2010 x86_64
MESSAGE: cu_check_single_use() - default
-----------------------------------------------------------------
irun: *E,ELBERR: Error during elaboration (status 255), exiting.


Can anybody help me in debugging what could be the issue? I would appreciate it...!!!

Thanks,
Dipak
 

Hi,
Any such INTERNAL Error is a bug in tool, report to support center. Also you may want to try latest version (9.2?). On your code you are missing a return data type specification in function declaration as:

Code:
>> function flush_cmd_queues();

[b] function void flush_cmd_queues();
[/b]

Maybe that gets it going? Also it maybe an issue with string datatype, I recall some issues in older NC versions on that side.

Good Luck
TeamCVC
www.cvcblr.com/blog

Added after 2 hours 12 minutes:

BTW, quick result with Aldec's Riviera-Pro on your orig code:

Riviera-Pro said:
# KERNEL: id_queue.size = 10
# KERNEL: trans_queue.size = 10
# KERNEL: clearing all the queues
# KERNEL: id_queue.size = 0
# KERNEL: trans_queue.size = 0
# RUNTIME: Info: RUNTIME_0068 q_string.sv (28): $finish called.
# KERNEL: Time: 210 ps, Iteration: 0, TOP instance, Process: @INITIAL#19_0@.
# KERNEL: stopped at time: 210 ps
# VSIM: Simulation has finished. There are no more test vectors to simulate.

Regards,
TeamCVC
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top