Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[51] Multisim hex code not working

Status
Not open for further replies.

ambar686

Junior Member level 1
Joined
Sep 1, 2013
Messages
17
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Location
Dankuni, India, India
Activity points
128
Multisim hex code is not working when I am downloading/burning it in AT89C51 MCU. Why is this happening?
 

Did your code compiled in atmel studio?

How did you burn AT89C51 (I mean which software tool)?

Does your Multisim have provision to burn Micro-controller?
 

Did your code compiled in atmel studio?

How did you burn AT89C51 (I mean which software tool)?

Does your Multisim have provision to burn Micro-controller?

I have compiled in keil uvision 4.Programmer is Willar programmer. Anyway, I have sorted it out. now another WARNING is occuring when I am trying to compile in keil uvision 4.

Code:


Code ASM - [expand]
1
2
3
4
5
6
7
8
9
ORG 000BH
START: MOV P0,#0C0H
                LCALL DLY
                MOV P0,#0F9H
                LCALL DLY
DLY: MOV R6,#30H
HERE: DJNZ R6,HERE
RET
END



ERROR is:

*** WARNING L5: CODE SPACE MEMORY OVERLAP
FROM: 0000H
TO: 001BH
Program Size: data=9.0 xdata=0 code=147
creating hex file from "segment"...
"segment" - 0 Error(s), 1 Warning(s).



Please help me to solve this.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top