Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[MOVED] verilog code for 3-bit synchronous counter

Status
Not open for further replies.

pavani vanka

Newbie level 1
Joined
Dec 30, 2010
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,286
please send me the code for 3-bit synchronous counter in verilog hdl. please its urgent
 

Re: verilog code for 3-bit synchronous counter

Here is the code:

Code Verilog - [expand]
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
module synccounter(clk,reset,out);
input clk,reset;
output [2:0] out;
 
reg [2:0] out;
 
always @(posedge clk)
begin
 if (reset == 1'b1)
   out <= 3'b000;
    else
     out <= out+1'b1;
 
end
 
endmodule

 
Last edited by a moderator:

Re: verilog code for 3-bit synchronous counter

this program gives output only 001.
how it works as counter?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top