[Moved]: How to code a Verilog to read .txt (hexa value )file and and then store in PC

Status
Not open for further replies.

vachanukb

Newbie level 2
Joined
Jul 6, 2018
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
14
[Moved]: Verilog code to read .txt (hexa value)file and and to store in PC

Hi all,

I need to code a verilog that could read the hexa value in a text file (.txt) and should save the value in a memory. The hexa value in a value are huge.
Can someone help me with this. Thanks in advance.
 

Re: [Moved]: Verilog code to read .txt (hexa value)file and and to store in PC

too vague. the verilog language has support for file reading, that solves your problem if you don't want to generate real hardware.
 

Re: [Moved]: Verilog code to read .txt (hexa value)file and and to store in PC

Hi,
Thanks for your reply. Actually I am looking for verilog code that could import the .txt file (which has hexa values) and store the values in an array.

Thanks,
Vachan
 

Re: [Moved]: Verilog code to read .txt (hexa value)file and and to store in PC

Lookup $readmemh in the Language Reference Manual.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…