modify verilog netlist to add inout pads

Status
Not open for further replies.

ksrinivasan

Member level 3
Joined
Jun 29, 2009
Messages
67
Helped
6
Reputation
12
Reaction score
3
Trophy points
1,288
Location
India
Activity points
1,706
Friends

I have a verilog netlist. I need to modify the verilog netlist to add input, output and inout pads.
Consider the following example
......
module bidirectional_buffer_width(y, a, e, b);
input [7:0] a;
input e;
output [7:0] b;
inout [7:0] y;
......
I modify this as follows
-----
module bidirectional_buffer_width(y_?, a_IP, e_IP, b_OP);
input [7:0] a_IP;
input e_IP;
output [7:0] b_OP;
inout [7:0] y_?
-------
For the input and output pads i just add _IP and _OP
what should i do for inout ??
----
Thanks in advance
Srinivasan
 

pad ring should have its model , why you want to add by yourself?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…