Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[ModelSim] How to set support to VHDL-2011

Status
Not open for further replies.

ivlsi

Advanced Member level 3
Joined
Feb 17, 2012
Messages
883
Helped
17
Reputation
32
Reaction score
16
Trophy points
1,298
Activity points
6,868
Hi All,

How can I set support to VHDL-2011 in ModelSim?

Actually I've put the VHDL93 = 2008 line in the modelsim.ini, but it seems it does not catch it. At least, then I try to use an output port in one of the processes, I'm receiving the following error message: "Cannot read output "signal_name"". As far as I know, VHDL-2011 supports using output ports in the processes, but VHDL93 doesn't.

Thank you!
 

Readback of output ports is in fact a VHDL2008 feature, but not supported by all tools. I don't know specifically about Modelsim.

The trivial solution is to declare the port as buffer instead, if you don't want an internal "wire" signal. The previous restriction of requiring buffer ports to be connected to buffer through the hierarchy isn't imposed by any recent tool.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top