Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Modeling Simple ADC / DAC in VHDL

Status
Not open for further replies.

wenglao

Newbie level 4
Joined
Feb 19, 2006
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,326
simple adc vhdl

anyone can teach me how ??
 

adc dac vhdl

ADC: take a file with voltage or current values in it, yes a text file. These are your analog values. Then write a vhdl model which will read this file. VHDL has a datatype called 'real' you will be able to read values from file, into a variable which is of type 'real'.
By reading these values you are effectively 'sampling' your analog value. So the frequency at which you will read will be your 'sampling freq'. So txt values in your files must correspond to that 'sampling freq'
now convert this 'real' type into std_logic_vector, and output it.
Thats it.
do the reverse for DAC.
Kr,
Avi
http://www.vlsiip.com
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top