Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

MOD-9 Counter in VHDL

Status
Not open for further replies.

TKruger

Newbie level 4
Joined
Apr 22, 2011
Messages
6
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Location
South Africa
Activity points
1,320
Hi Guys
My name is Tiaan, and i just signed up to this forum.

Im currently designing a system in VHDL, and using a MAX7000S CPLD from Altera.
I, However am experiencing a bit of a problem with my counter. It starts at 0 and counts up to 9 and is supposed to reset.
I have included the code and the error.

Can anyone please give me a hint, or correct me where im going wrong.
Thanking in advance.
 

Attachments

  • test_cnrt.txt
    868 bytes · Views: 105
  • test_cntr_error.txt
    107 bytes · Views: 108

couple of issues

in the others case you are giving reset a value (reset is an input, not an output)
buffer is not intended for integers
if you want to read the value back, make a construct with a temp signal
 
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top