Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Mim cap not detected in layout in tsmcn65

Status
Not open for further replies.

nitin mukesh

Newbie level 6
Joined
Sep 30, 2022
Messages
13
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
118
We are facing a few issues
1. Regarding the mimcap.


As I run the LVS having only mimcap in schematic and layout, I get an error message stating:

*ERROR* Device 'mimcap(Generic)' on Schematic is unbound to any Layout device.
*ERROR* UnBound devices found.

I have gone through the documentation N65_N55_Assura_LVS_Deck_Usage.pdf and added the source.added in netlisting option in assure lvs window, as shown below: in the attached image.



Netlisting option source.added is included as spice file.
(source.added is in the assura folder lcs_rcx)



I am not facing this issue with moscap_rf.


I have attached the Log file for the error.

Can you please guide us how to solve this LVS error for mimcap. I have followed the documentation and followed the steps, but the problem remains.
 

Attachments

  • Run Assura LVS.png
    Run Assura LVS.png
    88.7 KB · Views: 121
  • nelisting option.png
    nelisting option.png
    52.1 KB · Views: 115
  • log_mimcap.txt
    1.3 MB · Views: 155
Last edited:

My attention is drawn to the "generic" in "mimcap(Generic)". Maybe
this a "non-process element" that -has- no physical realization?
 

You should hide MiM Cap from LVS. It might not recognize. (IMHO)
I could, but then I will not be able to do RC extraction without lvs running.
--- Updated ---

My attention is drawn to the "generic" in "mimcap(Generic)". Maybe
this a "non-process element" that -has- no physical realization

Any way we can realise it.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top