Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

May FIFO be read and writen simultaneously ?

Status
Not open for further replies.

vvsvv

Full Member level 1
Joined
May 26, 2004
Messages
98
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
796
FIFO was made by BlockRAM out of Spartan2 (xilinx) ,
may this FIFO be read and be writen at the same time ?

thanks.
 

Spartan2 block rams are true dual port memories.
So, fifo can be designed which can be read/written simultaneously.
 

it_boy said:
Spartan2 block rams are true dual port memories.
So, fifo can be designed which can be read/written simultaneously.

Just to clarify: It is not possible to read/write from/to the same address at the same time. Of course there is no FIFO implementation which supports this useless mode.
 

You can read the fifo while writing if the number of word in the fifo is greater than one. When the fifo has one only word, there is the risk of collision of the read and write address.
 

Hi,

Yeah, Fifos based in BlockRAM can be read and write simultaneously, synchronous and asynchronous ones.

You have to monitor the 'empty' signal before popping out the next word and that's it.

Just bear in mind that writting an async Fifo is not trivial (sync is peanuts).

-maestor
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top