Matter with MUL (*) - ISE omits the second operand

Status
Not open for further replies.

FINALFANTASYFAN

Member level 1
Joined
Mar 22, 2007
Messages
32
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,491
Matter with MUL (*)

Code:
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;

entity Demo_MUL is
	port(
		a,b	:	in 	signed(4 downto 0);
		s		:	out	signed(9 downto 0)
		);
end Demo_MUL;

architecture Behavioral of Demo_MUL is

begin
	s	<=	a * b;
end Behavioral;

Here is a sample code for what I'm getting of. ( I'm using ISE10.1 ) The problem is ISE omits the second operand in multiplication. This simple code is synthesized as a signed MUL with one input is "a" and the second input is left open. What is the matter with ISE? Or what is my fault? I'm lost my calm! Pls help me!

PS: Thanks in advance
 

Matter with MUL (*)

It's ISE 10.1's. I have updated to 10.1.03 and it is resolved!
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…