Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

matrix multiplication

Status
Not open for further replies.

honnaraj.t

Member level 2
Joined
Aug 3, 2007
Messages
48
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,288
Activity points
1,725
hi,
i am working on graphics accelarator..... in my project i need to do lot of matrix multiplication... i need to write this in VHDL... if any one have information please share.... thanks in advance....... if you have some supporting material please share with me.............
 

Hi,
Try this:
**broken link removed**
 

i too need the same thing matrix multiplication of 2*2 matrixes but my inputs are not single bit they are 3bit range
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top