Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Looking for VHDL code for implementing serial port on FPGA

Status
Not open for further replies.

ahsan

Member level 2
Joined
Jul 24, 2004
Messages
47
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
Texas, USA
Activity points
512
Serial port using VHDL

Hello....

I am trying to implement a serial port (RS-232) on FPGA (xilinx XC-4010)
using VHDL. Any one who know the code for serial port in VHDL....plz reply
 

Re: Serial port using VHDL

A simple serial code is given in attached ESA VHDL Modelling Guidelines.
 

Re: Serial port using VHDL

This is a reference design from Xilinx: UART in VHDL. An application note on it (XAPP341) can also be found at Xilinx.
Target is a XCR3128XL-7VQ100C CoolRunner CPLD
**broken link removed**


Mik
 

Serial port using VHDL

Before implementing serial port one important question is that how much Baud rate you need .......do you want it to be fixed or variable??
 

Re: Serial port using VHDL

Free UART Reference Design from Quicklogic

**broken link removed**
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top