Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Looking for reference on verilog-A

Status
Not open for further replies.

flushrat

Full Member level 3
Joined
Jan 25, 2005
Messages
180
Helped
23
Reputation
46
Reaction score
8
Trophy points
1,298
Activity points
1,532
How to study verilog-A

I am a beginer on verilog-A. I want to write some behavior model for analog modules, such as sample/hold A/D D/A etc. Plz suggest me some good reference.
 

Re: How to study verilog-A

design a system use it so you can do more
 

How to study verilog-A

check this site:

**broken link removed**
 

Re: How to study verilog-A

The easiest way I found is to create simple system and then create block in VA.
Big help (to me) are the verilog models provided by cadence. I usualy take those , disect them and make my own stuff.
 

Re: How to study verilog-A

I think case study is helpful , I once saw a case study provided by cadence named "Top Down Modeling and Test Bench Development Verification Case Study: Pipeline ADC" ,it is quite good
 

How to study verilog-A

I think you can begin from some simple circuits before writting the A/D.
 

Re: How to study verilog-A

i think you can read veriloga manual provided by candence
 

Re: How to study verilog-A

there is a book by ken kundert called "The designer's guide to Verilog-AMS" it's a very nice one for beginners
 

How to study verilog-A

Is the Verilog-AMS the same with the Verilog-A?
 

Re: How to study verilog-A

siboy said:
Is the Verilog-AMS the same with the Verilog-A?

Verilog-AMS is the integration of Verilog and Verilog-A
 

Re: How to study verilog-A

read cadence user guide for verilog-A, it is very descriptive
 

How to study verilog-A

i used it before. actually you can just copy its existing library cells and modify it to what you need. like simple c program
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top