Looking for a code for SPI interface in VHDL

Status
Not open for further replies.

ZigaM

Junior Member level 2
Joined
Apr 15, 2010
Messages
23
Helped
3
Reputation
6
Reaction score
2
Trophy points
1,283
Location
Maribor - Slovenia
Activity points
1,404
Hello.

Does anyone has a working code for SPI interface in VHDL?

I have allready cheked this forum and found one code, but the author is not sure if this code works.

Thanks.
 

Re: SPI in VHDl

Go to www.opencores.org
They have two VHDL implementations for SPI. The first is OPB to SPI and the second is SPI flash controller.
--
Amr
 

    ZigaM

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…