Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

LCD spartan 3E seconds counter 1 to 60

Status
Not open for further replies.

catalinutz

Newbie level 6
Joined
Nov 17, 2010
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,365
First of all i am new to this forum and i salute u all ! i really need a verilog / or vhdl code with ucf included for spartan 3e that shows on spartan's lcd numbers from 1 to 50 ,a second counter pls help me kind people i want this to understand how it works i am a teacher but i am also passionate .pls help thanks
 

thank you very much it works very well:-D
 

would this UCF file work with this tuturial??? anyway is it correct? or need any changes?

NET "clk" LOC = "C9" | IOSTANDARD = LVCMOS33 ;
NET "LCD_E" LOC = "M18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
NET "LCD_RS" LOC = "L18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
NET "LCD_RW" LOC = "L17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
NET "LED<0>" LOC = "F12" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW ;
NET "LED<1>" LOC = "E12" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW ;
NET "LED<2>" LOC = "E11" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW ;
NET "LED<3>" LOC = "F11" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW ;
NET "LED<4>" LOC = "C11" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW ;
NET "LED<5>" LOC = "D11" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW ;
NET "LED<6>" LOC = "E9" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW ;
NET "LED<7>" LOC = "F9" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW ;
NET "reset" LOC = "N17" | IOSTANDARD = LVTTL | KEEPER ;
NET "SF_CE0" LOC = "D16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
NET "SF_D<0>" LOC = "R15" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4 ;
NET "SF_D<1>" LOC = "R16" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4 ;
NET "SF_D<2>" LOC = "P17" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4 ;
NET "SF_D<3>" LOC = "M15" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4 ;
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top