jpeg2000 parallel atchitecture implementation

Status
Not open for further replies.

akrambaig403

Newbie level 3
Joined
Aug 2, 2010
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
chennai
Activity points
1,301
hi frds

i need vhdl code for jpeg2000 encoder

otherwise plz help me, that how to start implementing it in vhdl

give some information abt books, which i can refer for this

plz help me guys.....

thank u
 

Hi akram

You can use this book:
"JPEG2000 Standard for Image Compression
Concepts, Algorithms and VLSI Architectures"
by: Tinku Acharya
Ping-Sing Tsai

It is very useful
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…