Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] iteration limit reached after 1000 ps

Status
Not open for further replies.

Muthuraja.M

Advanced Member level 4
Full Member level 1
Joined
Jul 20, 2013
Messages
101
Helped
0
Reputation
0
Reaction score
0
Trophy points
16
Visit site
Activity points
634
Hi friends ,

I am having control line if I change that mux select line means at that time this error occurs.

iteration limit reached after 1000 ps.

If I change it in the 400th ps means it shows like

iteration limit reached after 400 ps..

Pls reply wat ll be the fault..

Thanks in advance...
 

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity bu2 is
port(ip_re,ip_im:in std_logic_vector(3 downto 0);
sel:in bit;
op_re,op_im:eek:ut std_logic_vector(3 downto 0));
end bu2;
architecture bu of bu2 is
signal j,k,l,m,x,y,p,q,a,b,c:std_logic_vector(3 downto 0);
component mux is
port(a,b:in std_logic_vector(3 downto 0);
sel:in bit;
y:eek:ut std_logic_vector(3 downto 0));
end component;
component twos_complement is
port(ain:in std_logic_vector(3 downto 0);
yout:eek:ut std_logic_vector(3 downto 0));
end component;
begin
j<=(ip_re xor p);
k<=(ip_im xor q);
l<=(x xor ip_re);
m<=(y xor ip_im);
l0:twos_complement port map(x,p);
l1:twos_complement port map(y,q);
l2:mux port map(ip_re,j,sel,x);
l3:mux port map(ip_im,k,sel,y);
l4:mux port map(x,l,sel,a);
l5:mux port map(y,m,sel,b);
l6:mux port map(a,b,sel,op_re);
l7:twos_complement port map(a,c);
l8:mux port map(b,c,sel,op_im);
end bu;
 

It might be due to the combinatorial feedback path from I2/I3 thru I0/I1 thru j/k back to I2/I3.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top