Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ISE VHDL question -when is the end of the simulation?

Status
Not open for further replies.

lt.data

Newbie level 4
Joined
Nov 7, 2008
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,310
ISE VHDL help....

i want to write the result of all the signals into a text file, at the end of the simulation.

i know how to write to a file, the question is, how can i know when is the end of the simulation.

somthing like if (now="end of simulation") do.....

i just need to save the last value of each signal.

thanks lt.data
 

Re: ISE VHDL help....

barry said:
What are you using for a simulator?

im using the standard ISE simulation.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top