Is their any attribute is there in verilog

Status
Not open for further replies.

Mkanimozhi

Full Member level 4
Joined
Aug 8, 2007
Messages
193
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
3,445
Hi,
I need to change the wire state form logic o to logic 1 when some transaction on the input vector value ,like in vhdl we have attributes say 'event ,'high and 'low like tat is there any attribute is there in verilog.

Regards
Kanimozhi.M
 

Yes. There is always@(posedge clock ) construct to play with.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…