is it possible to label entity in vhdl?

Status
Not open for further replies.

aruipksni

Full Member level 6
Joined
May 23, 2013
Messages
345
Helped
68
Reputation
136
Reaction score
66
Trophy points
1,308
Activity points
3,004
is it posible to label entity in vhdl ?

i don't think it is legal, but mabee someone know if it's legal in some version ?
 

Re: is it posible to label entity in vhdl ?

All entities have to be labeled, otherwise it is an error.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…