Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Is it possible to debug C++ codes during C/NCVerilog cosim?

Status
Not open for further replies.

kel8157

Full Member level 2
Joined
Nov 14, 2007
Messages
131
Helped
5
Reputation
10
Reaction score
3
Trophy points
1,298
Activity points
2,017
I am doing C++/NCVerilog cosimulation.
The setup is C++ test vector creation/injection by calling a HDL task in systemverilog front-end, the SV frontend interfaces with DUT in a verilog TB.

Write to the DUT goes well, but read is problematic, and the error is not easy to find. The C++ code is a complex external package plus my background is more in generic C.

Code:
terminate called after throwing an instance of 'std::out_of_range'
  what():  basic_string::at
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top