Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Is it good to do verification using verilog pli?

Status
Not open for further replies.

zhangpengyu

Full Member level 3
Joined
Jun 28, 2004
Messages
172
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,298
Activity points
1,164
what's verilog pli

Hi
guys!

Is it good to do verification using verilog pli?Does vcs support it?



zhpy
 

Re: what's verilog pli

Verilog PLI( Programming Language Interface) is a mechanism to invoke C or C++ functions from Verilog code.

For Mixed-Langulage Co-Simulation
 
Re: what's verilog pli

VCS support it , in different form of function call
 

Re: what's verilog pli

zhangpengyu said:
Hi
guys!

Is it good to do verification using verilog pli?Does vcs support it?



zhpy

Hi zhangpengyu:

Pli is very useful because the verilog is weak of some aspect (for

example: file process ability) . You can use verilog PLI to do this work.

Luckly, the verilog-2001 have better ability, you can do something with

the system task of verilog.

Mainstream digital simulator support the use of PLI.

wang1
 

Re: what's verilog pli

hi,
Many simulation tools support this. VCS, NC-Verilog etc.

But I think when you do verification, Perl is very good language for dealing the result files. And shell will help you build the automatic test enviornment.

For my opinion, PLI is more difficult than perl and shell.
 

Re: what's verilog pli

A very good book about PLI:

The Verilog PLI Handbook
by Stuart Sutherland 2/e
Kluwer Academic Publisherd

for your reference( I have't find eBook , sorry)
 

what's verilog pli

of course. vcs support it.you can see cadence document.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top