Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Interfacing VHDL design with a Verilog testbench

Status
Not open for further replies.

orangelogic

Junior Member level 1
Joined
May 31, 2005
Messages
15
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,377
Hello,

I am working on asic project and I have a IP core in VHDL. Now, i need to write the verilog code for the testbench. can someone tell me how do I do this interfacing.

I understand that there has to be some wrapper around my VHDL design. But can someone throw more light on this. Thanks in advance....
 

entity a ( input b : std_logic;
output c : std_logic);

architecture a1 of a

end architecture

module tb_a ();

wire b;
wire c;

a1 a (.b(b),
.c(c);


initial
begin
// test vectors here
end

endmodule


simplest example of mixed signal simulation. just write a verilog testbench considering vhdl entity as a verilog module and instantiate the vhdl entity in it. try to simulate the verilog file using modelsim. it should work.
 

sree205 said:
simplest example of mixed signal simulation.

Just to be clear, that's not mixed signal, that's mixed language or mixed hdl simulation.
 

can we also have a testbench in SystemVerilog for a design in VHDL and do the same as with a verilog testbench???
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top