Inferring DSP blocks in Synplify Premier using SDC Constraints

Status
Not open for further replies.

imbichie

Full Member level 6
Joined
Jul 30, 2010
Messages
381
Helped
55
Reputation
110
Reaction score
54
Trophy points
1,308
Location
Cochin/ Kerala/ India or Bangalore/ Karnataka/ Ind
Activity points
3,580
Hi All,

I am using Virtex 7 1157 FPGA.
My design with RTL is freezes. I cannot modify a single line in the RTL.
I needs to infer the DSP blocks for particular adder logic in my design.
During the Synplify synthesis, I observed that automatically these adder are not using DSP blocks.
Is there any *.sdc constraints for inferring the DSP block for adders (also for XOR) logic in the design.
IN RTL i know by using the syn_multsyle will do this.
But RTL is freezes, so only option is the SDC file.
In vivado tool we have options for this :
Code:
set_property use_dsp48 yes [get_cells <signal>]

I need the similar sdc constraints for synplify.

After the synplify I will be using vivado for place and route.
 
Last edited:

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…