If I use both Sync modules and async modules in my Chip,

Status
Not open for further replies.

gentle_man

Junior Member level 3
Joined
Jan 6, 2004
Messages
31
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
196
Waht should I pay attention to?(Use scan for test)



thanks
 

you should separate async logic into a individual module,

and dealing with these logic carefully.



gentle_man said:
Waht should I pay attention to?(Use scan for test)



thanks
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…