Follow along with the video below to see how to install our site as a web app on your home screen.
Note: This feature currently requires accessing the site using the built-in Safari browser.
---------------------------------------------------------------------------
MEMORY_WRITE:
process (clock)
begin
if (clock'event and clock=1) then
if ( cs_0 = '1' and we_0 = '1') then
mem(conv_integer(address_0)) <= data_0;
elsif (cs_1 = '1' and we_1 = '1') then
mem(conv_integer(address_1)) <= data_1;
end if;
end if;
end process;
----------------------------------------------------------------------------
here does the "mem" type or signal?????
I'm suspecting that you aren't putting forth effort to do this task.hi tnx
but how do i use a state machine?
Outside of asking for people to give you stuff, how much effort are you actually putting into this task? If you post your code, people will provide feedback and critique it for you. As it is, you seem to not want to put forth effort, or are at least giving that appearance.can you please provide a code for it? please.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity true_dual_port_ram is
port (clk : in std_logic;
we : in std_logic;
en : in std_logic;
addr1 : in std_logic_vector(5 downto 0);
di1 : in std_logic_vector(15 downto 0);
do1 : out std_logic_vector(15 downto 0));
we2 : in std_logic;
en2 : in std_logic;
addr2 : in std_logic_vector(5 downto 0);
di2 : in std_logic_vector(15 downto 0);
do2 : out std_logic_vector(15 downto 0));
end true_dual_port_ram;
architecture ram_arch of true_dual_port_ram is
type ram_type is array (63 downto 0) of std_logic_vector (15 downto 0);
signal RAM : ram_type;
begin
process (clk)
begin
if clk'event and clk = '1' then
if en = '1' then
if we = '1' then
RAM(conv_integer(addr)) <= di;
else
do <= RAM( conv_integer(addr));
end if;
end if;
end if;
end process;
process (clk)
begin
if clk'event and clk = '1' then
if en2 = '1' then
if we2 = '1' then
RAM(conv_integer(addr)) <= di2;
else
do2 <= RAM( conv_integer(addr));
end if;
end if;
end if;
end process;
end ram_arch;
The copy-and-paste product hasn't even been syntax-checked.the code that i am using is as follows