Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to use LUT, give a simple examples ?

Status
Not open for further replies.

hoangthanhtung

Full Member level 3
Joined
Apr 23, 2004
Messages
151
Helped
5
Reputation
10
Reaction score
4
Trophy points
1,298
Activity points
1,362
I have read some information about LUT in FPGA, but I wonder that How to use LUT in Verilog program for Altera chip.

Anybody can load a simple program use LUT by verilog for me and compare the perfomance with the program without using LUT ? I am confusing about LUT
definition and can not make it clearly.

In addition, I try to design a fast multiplier using ROM, anybody can share some information or give me some recommendation ?

Many thanks
 

Hi, I think you don't need care about that. All the things that you do is write rtl code or drawing schematic. How to match you code with FPGA's LUT is FPGA tools must to do. Synthesis, P&R etc.
 

z81203 said:
Hi, I think you don't need care about that. All the things that you do is write rtl code or drawing schematic. How to match you code with FPGA's LUT is FPGA tools must to do. Synthesis, P&R etc.

Thank you but I still wonder about LUT because when I write RTL code and simulation it with other type of FPGA. The perfomance is different and I want to explain it, I think that performance depends on size of LUT in each FPGA chip. Because I try to write some FFT code and design FIR so I have to learn about the structure of LUT to optimize the delay time.

Thank again
 

I think that it don't consider it for simulation tool can generate corresponding result.
you can try to synthesis a VHDL program, and you can find the usage about LUT.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top