how to use Force/release in UVM

Status
Not open for further replies.

arunramnath

Junior Member level 1
Joined
Apr 2, 2010
Messages
15
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Austin
Activity points
1,386
I know we cannot use the force/release statements directly in an UVM run phase because of the interface based communication between TB and DUT.

In case I need to force a pin A in the DUT to logic 1, How can I go about it ?

I could not find any source to get this info.
 

Create a task in your interface to do the force/release, then call the task from your driver. If the DUT signal is unrelated to any existing interface, you may need to create a separate interface and use bind to instantiate the interface inside your DUT. See my **broken link removed** that shows a few ways to do this.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…