Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to trigger rising and falling by using Verilog?

Status
Not open for further replies.

maxfli

Newbie level 2
Joined
Jul 16, 2009
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,301
verilog trigger

Hi all,

I want to design a signal that can trigger by using rising edge and falling edge by

using Verilog and download it into FPGA by using Quartus.

for example:
//--------------------------------------------------------
always_ff @(posedge DF_CLK, posedge seen)
begin
if (seen == '1)
externalclk= '0;
else
externalclk= '1;
end
//--------------------------------------------------------

is it possible change the trigger by both rising and falling edge??

thank you so much
 

rising edge verilog

Yes this is possible. The seen signal will be synthesized as a asynchronous reset signal asserted high, while the DF_CLK will be synthesized as a positive edge triggered clock.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top