Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to send data with PCI9054 in master mode?

Status
Not open for further replies.

rasta_board

Newbie level 2
Joined
Nov 10, 2007
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,297
Hi Friends,

In my project I use PCI9054 "C Mode" to control FPGA .Acturally PCI9054 acts as local bus master and PCI target.

I use plxmon to test my board. For single write on the sram I typed the following command at lower command line of plxmon:
> el s0 11111111
I see the signals on cipescope and LA is 0

> el s0+4 22222222
I see the signals on cipescope and LA is 1

> el s0 33333333
I see the signals on cipescope and LA is 2 !!!!

But I expect that LA was 0.

can any body help me?
 

fpga plx9054

what is plxmon ........... i also using pci 9054 in c mode.........
 

plx 9054 waitstate

Hi
Thank you for your reply.
PLX mon is a program that I installed on computer to test My board.
It can measure the writing and reading speed of 9054 and show its internal register in addition I can change the setting of these register with this program.

fortunately my problem was solved. now my current question is how I can send data with 9054 in master mode?

what is the speed of data transfer in your board?


Thanks
 

plx9054 plxmon

i hav no idea about master mode actuly i am now starting to use plx 9054 now only........ for designing . my project just started only..........
if any other qurey relating c mode welcome ....

Added after 4 minutes:

i am thinging wat the same way only i using plx 9054 i have an altera chip on the local side of plx 9054 . I hav one question r u using ready# pin of plx ....?
is it necessary or not .......?can v generate a wait state using this pin?. in my board its not working .u hav any idea......?
 
  • Like
Reactions: flyxl

    flyxl

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top