Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to see assertion using vsim viewer?

Status
Not open for further replies.

Sunil Dodiya

Newbie level 3
Joined
Apr 22, 2009
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,302
vsim +acc

Hi,
Can anyone please let me know how I can see assertion in waveform window?
I am using Questa version 6.4

I am using following vsim command to dump all signals :
vsim -c -do "add wave -r /*; run -all; quit -f"

But I am able to see all the signals but not assertions. :(
Please help.

Thanks in advance,
Sunil
 

vsim view command

Do they work if you run interactively? i.e. vsim -i
then don't do 'quit -f'

Do your assertions have an else clause? If so, they wont show up in the waveform window.
 

vsim command

I removed else clause but still not showing assertions. I am not using -i option.
Here is the command i used :
vsim -c top -do vsim.do
vsim.do file contains :
add log -r /*
run -all
quit -f

after simulation, I am trying to see waveform using:
vsim -view vsim.wlf

I have attached the file.

Regards,
Sunil
 

vsim.do add all wave

Didn't work with this option.. :(
 

questa wave window atv

Try running interactively with -i
 

vsim +acc=

There seems to be no option for selecting/adding all assertions; however, if you are interested in the specific ones, the label of the assertion can be specified additionally, i.e.:

vsim -c -do "add wave -r /* /<TOP>/<ASSERTION_LABEL>; run -all; quit -f"

This way, you can add more than one assertion.
 

how does questa show assert in wave window

Sunil Dodiya said:
Didn't work with this option.. :(

Sorry I got your Q wrongly, I thought you are missing some signals. That happens due to VOPT and the option I provided disables some of that.

We do this all the time here @CVC. Do::

Code:
 vsim -assertdebug

Then click on: View --> Assertions (or Tools --> Assertions)

As per their DOC, "Add all objects in design" should add assertions as well, did you try that?

Also explore their ATV feature, quite nice - though little hard to use.

HTH
Ajeetha, CVC
www.cvcblr.com
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top