How to save specific signal in Modelsim

Status
Not open for further replies.

jasonkee111

Junior Member level 3
Joined
Feb 8, 2009
Messages
28
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,501
I plan to running a simulation using verilog written testbench in Modelsim. I would like to save specific signal(s) into a file(any format). What is the syntax? Pls provide with some example.


Thanks
 

You can use $dump.. to create VCD files:

initial begin
$dumpfile("test.vcd");
$dumpvars(1,rst,clk,i,o);

#200; // Do your simulation here

$dumpflush;
$finish
end

The VCD file can be shown by waveform viewers. An open-source viewer is gtkwave. I was able to install gtkwave by just running

> yum install gtkwave

I plan to running a simulation using verilog written testbench in Modelsim. I would like to save specific signal(s) into a file(any format). What is the syntax? Pls provide with some example.
 

you could also used the list file in Modelsim, this one save each signal modification and the time, in ascii file as VCD.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…