How to reuse component (multiplier) for calculation?

Status
Not open for further replies.

triump.ar

Junior Member level 2
Joined
Dec 9, 2007
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,440
hi everybody,
actually i want to know that i m using 6 multipliers in my design..but i want to use the same multiplier to do the calculation how i cn do it...ny1 has ny idea?? thn tell me
 

Re: component reuse

if you are using VHDL, you can use sintax "port map componentName(value1,value2,...)".....please, see quartus II help for more details....good luck.


Breno
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…