Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to produce a synthesizable delay

Status
Not open for further replies.

superhet

Junior Member level 3
Joined
Jun 7, 2005
Messages
25
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,599
i have a problem where data from one module has to be given to another module but with a delay. in simulation with modelsim delays can be easily generated by #<amount of delay> but how can i produce a synthesizable delay. lets say i want an equivalent of

#30

the time is in nanoseconds and the choice of the clock frequency is up to you
 

Re: synthesizable delay

the only way to produce delays in plds is to build internal timer counts according to system clock.

u simulate ur designs for functionality not for timing.

u use timing reports to see only if ur design has the appropriate speed.
 

    superhet

    Points: 2
    Helpful Answer Positive Rating
synthesizable delay

You can use synplify_pro to add route delay.
use its SCOPE
 

    superhet

    Points: 2
    Helpful Answer Positive Rating
Re: synthesizable delay

In general delay is not synthesizable unless there is a counter (similar to stopwatch) .. the delay is affected by 2 main factors :
1- gate delay ..
2- routing delay ..
if u managed ( theoritically ) to know exactly the gates that u r going to use and their delays , then the routing delay between them , then u will be able to have an accurate synthesizable delay ..
 

    superhet

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top