Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to practice verilog.

Status
Not open for further replies.

e-bedlam

Newbie level 4
Joined
May 9, 2012
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,331
Hello Everyone.

I am a tyro in Verilog and needed to know if there is any website for practicing Verilog Questions.For example there are many sites to practice C/C++ like spoj etc.
I would also like to know which simulator should i use with xilinx.I have Xilinx.ISE.Design.Suite.v10.1.
Kindly help me out.

Thanks.
 

You cna use modelsim simulation tool
 

You can try out the examples in the book : FPGA Prototyping by Verilog Examples (Pong P Chu) It covers every aspect of Verilog and Digital Design and is the best book for beginners IMHO.
 

You start writing verilog code for what you need.
I do not feel you need practice the moment you start implementing you will learn by default.
 

Please go through this forum for posts on verilog You will find enough help.
 

modelsim simulation tool for student version is free to download.xilinx ise is also a good tool

- - - Updated - - -

any good books for verilog

verilog design by samir patnikar
 

Hi,

As already told here, use Modelsim for start. You can download student version license from model.com.
In case you need to practice, I can give you some small projects to work on. Ping me if you need help.
Apart from this try ASIC world for learning the language.

Best Regards,
Abhishek
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top