Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to make Debussy work with Modelsim under PC

Status
Not open for further replies.

zpmanr

Newbie level 5
Joined
Dec 10, 2004
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
59
To make Debussy work with Modelsim,the Novas's document tell us that we must add the following code in our simulation code to generate fsdb file:
$fsdbDumpfile("reg_bus.fsdb");
$fsdbDumpvars;
But the CIC's document point out that this way is only useful under Workstation environment instead of PC environment.
So I want to know how to generate fsdb file under PC environment.

By the way,how to realize this section code's function with VHDL?

Please tell me the detail!!
Thanks.
 

yes $fsdbDumpfile/vars is only useful in linux
it use some debussy tools to automatically convert your dump file to fsdb
you can also use "file->convert to fsdb" at the debussy menu to manually convert a dump file created by modelsim to fsdb file.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top