How to make a generic LFSR?

Status
Not open for further replies.

gck

Full Member level 3
Joined
Oct 17, 2006
Messages
173
Helped
26
Reputation
52
Reaction score
19
Trophy points
1,298
Activity points
2,220
PLs give me the link for LFSR.

I want to make generic LFSR,


thanks in advance
 

LFSR

Xilinx has a nice little app note that gives some general info on LFSR design, and then lists feedback equations for LFSR lengths from 3 to 168:
https://www.xilinx.com/bvdocs/appnotes/xapp210.pdf

If your device doesn't have SRLs, then simply use an ordinary shift register of similar length.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…