How to instantiate FDDRCPE ?

Status
Not open for further replies.

voho

Full Member level 2
Joined
Feb 24, 2004
Messages
121
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,298
Location
Nador City
Activity points
852
hi all,

how to instantiate FDDRCPE,

**broken link removed**

when i do this ISE do not find in library FDDRCPE

the vhdl code for this module is available for example:

architecture behavioral of fddrcpe is
begin
Qreg : process (clk, rst)
begin
if clr='1' then
q <= '0';
elsif clk'event and clk='1' then
q <= d;
end if;
end process;
..................................


thank's
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…